基于FPGA的电机控制设计(PWM)

分享下做的设计。
本设计是通过PWM对电机进行控制,可以进行速度控制,正反转控制等。
本程序可以在vivado或者quartus II下使用。
本代码有verilog和vhdl两个版本。
同时在modelsim和vivado自带仿真器都仿真正确。

工程文件中包括测试文件,用来仿真,产生波形图。
下图为vivado中工程文件图:
基于FPGA的电机控制设计(PWM)

下图为仿真波形图:

基于FPGA的电机控制设计(PWM)