Howto初始化构造函数中的char数组样式字符串

问题描述:

我有一个类正在序列化发送一个unix套接字,它必须有一个字符串,我已经存储为一个char数组。我能否在构造函数中初始化它,以便我在这里完成它?Howto初始化构造函数中的char数组样式字符串

typedef struct SerialFunctionStatus_t { 
    SerialFunctionStatus_t() 
     : serial_rx_count(0), serial_tx_count(0), socket_rx_count(0), socket_tx_count(0) 
     { port[0] = '\0'; } 
    uint32_t serial_rx_count; 
    uint32_t serial_tx_count; 
    uint32_t socket_rx_count; 
    uint32_t socket_tx_count; 
    char port[20]; 
} SerialFunctionStatus_t; 
+0

在C++中,您不需要“typedef”。 – 2008-10-29 02:41:27

+0

感谢那个BKB。你能告诉我真的是C程序员吗? – MattSmith 2008-10-31 06:12:15

port()置于初始值设定项列表中。这会导致端口被'初始化'(12.6.2),对于内建的数组而言,意味着初始化为零(8.5)。