基于FPGA的IIC程序设计

基于FPGA的IIC程序设计

1 实现功能

1、编写基于verilog的IIC驱动模块,实现对IIC协议器件的通用读写测试功能,并通过串口调试助手显示从IIC器件中读取出来的数据。
2、本代码测试流程:先向AT24C16地址0-99地址中写入对应数据0-99,然后再从0-99地址中读取对应的数据,并通过串口调试助手显示出来。

2 模块设计

2.1 顶层设计

基于FPGA的IIC程序设计

2.2 顶层架构

基于FPGA的IIC程序设计

2.3 IIC驱动模块

基于FPGA的IIC程序设计

2.3.1 AT24C16单字节读写流程

基于FPGA的IIC程序设计

2.4 IIC数据产生模块

基于FPGA的IIC程序设计

2.5 时钟倍频模块

基于FPGA的IIC程序设计

2.6 UART FIFO模块

基于FPGA的IIC程序设计

2.7 UART 发送模块

基于FPGA的IIC程序设计

2.7.1 UART 发送模块状态转移图

基于FPGA的IIC程序设计

2.8 UART桥接模块

基于FPGA的IIC程序设计

测试结果

基于FPGA的IIC程序设计

代码下载连接

https://download.csdn.net/download/m0_37796894/12668259