ModelSim HDL 设计与模拟基础练习一

ModelSim HDL 设计与模拟基础练习一

实验步骤

创建工程

1.准备工程目录

  1. 在电脑上创建一个文件夹,比如 c:\course\hdl_sim\modelsim_tutorial
  2. 找到 Modelsim 软件的安装目录,缺省位置是 c:\Modeltech_pe_edu_10.4a, 将
    其中子目录 examples\tutorials\verilog\projects\ 下的两个文件
    counter.v 和 tcounter.v 拷贝到上一步创建的文件夹中。

2.检查文件, 去掉“只读”属性

3.创建工程

  1. 启动 Modelsim 软件
  2. 选择菜单 File > New > Project
    a. 在弹出的对话框中输入工程的名字
    b.Project Location 选择为之前创建的文件夹c:\course\hdl_sim\modelsim_tutorial
    c. 点击 OK
    d. 选择 Add Existing File
    e. 点击 Browser… , 选中 counter.v 和 tcounter.v,点击 Open
    f. 点击 OK 后,看到两个文件已加入工程中

4.编译
Compile 是从 HDL 源代码产生可执行文件(用于模拟)的第一步,其结果是产生一个Library。

  1. 设置编译次序
    a. 在图示窗口空白处,点出鼠标右键菜单,选择 Compile Order
    b. 点击Auto Generate
  2. 开始编译
    ModelSim HDL 设计与模拟基础练习一
    检查 Library 窗口,应该看到一个名叫 work 的 library 下有两个模块
    (counter 和 test_counter).

5.加载

  1. 右键单击顶层模块,选择Simulate
  2. 运行模拟,并观察波形ModelSim HDL 设计与模拟基础练习一

打开已有工程

用ModelSim打开.mpf的格式的文件,它也就是先前建过的工程(目录不能有中文字符,否则打不开)

文件说明

tcounter.v文件
ModelSim HDL 设计与模拟基础练习一