Modelsim仿真的一个关键性问题

1.准备工作

安装altera仿真欠缺的所有库,安装方法参看其他文章:
点击进入

2.错误原因

我们在start simulation中不选中优化,选中work下的文件;
Modelsim仿真的一个关键性问题
注意:还要在libraries中添加lpm、mf、pri、cyclone的几个库;

仿真后就发现了错误:

1.发现调用核中一个没定义的模块;
Modelsim仿真的一个关键性问题
2. 即使扫描了添加的几个库,文件加载还是失败,无法仿真,所以错误并不是库的问题。
Modelsim仿真的一个关键性问题

3.解决办法

1.复制quartus 2 调用核文件夹中的.v文件;注意查看路径。
Modelsim仿真的一个关键性问题
2.将复制的文件,粘贴到modelsim项目文件内;
Modelsim仿真的一个关键性问题

4.仿真正确

我们通过modelsim添加这个.v文件到work中,通过已存在的文件方式。
Modelsim仿真的一个关键性问题

5.仿真结果

通过start simulation,继续添加库,然后仿真,仿真进行中。
但是此时没有输入信号(input),我们需要手动输入代码添加输入源;
Modelsim仿真的一个关键性问题
输入代码后添加了仿真源,然后出现仿真结果;
Modelsim仿真的一个关键性问题

通过以上操作,解决了一系列问题,最后获得了结果。完美的仿真了带有IP核的模型仿真
下面一个重要的参考步骤列表:
Modelsim仿真的一个关键性问题