FPGA作业1:利用74138设计4-16译码器

1.首先,建立工程,新建BDF文件,在BDF文件中画出电路图,如图所示:FPGA作业1:利用74138设计4-16译码器
2.然后,新建文本文档,将各个管脚的pin值输入,如图:FPGA作业1:利用74138设计4-16译码器
再将该文档导入到上述电路图中,点击start compilcation进行编译,得到如下结果:FPGA作业1:利用74138设计4-16译码器
3.最后,进行仿真设计,先新建一个VWF文件,再将node finder中的关键引脚拖至仿真区,设置好仿真时间以及输入信号,如图所示:
FPGA作业1:利用74138设计4-16译码器
点击start simliation进行仿真,得到仿真结果如图所示:
FPGA作业1:利用74138设计4-16译码器
4.到此,整个4-16译码器设计结束。