Quartus II 中管脚分配的几种方式

建议:

少量管脚分配可以使用通识简单的第一种方法,如果对大量管脚分配,可以使用 qsf、tcl 简介直观明了的方法。

 

1、直接配置

在assignments ->pin planner中直接分配

Quartus II 中管脚分配的几种方式

2、qsf文件配置

全称是Quartus Settings File。包含了一个Quartus工程的所有约束,包括工程信息、器件信息、引脚约束、编译约束和用于Classic TimingAnalyzer的时序约束。

方式一:qsf 文件会通过编译产生,在工程目录下可以直接找到
方式二:也可以将文件导出:Assignments --> Export Assignments…

打开qsf文件,配置方式如下:

Quartus II 中管脚分配的几种方式

3、TCL文件配置

全称是 Tool command language,是基于字符串的命令语言,tcl 语言是一种解释性语言,他不需要通过编译与联结,它像 “shell” 语言一样,直接对每条语句顺次解释执行。在FPGA的应用中 tcl 文件中使用 tcl 语言对管脚进行配置,tcl 文件只包含管脚的配置信息。

创建方式一:TCL 文件可以自行创建,
创建方式二:或在Quartus工程下生成:Project --> Generate Tcl Files for project…
Quartus II 中管脚分配的几种方式

Quartus II 中管脚分配的几种方式

Quartus II 中管脚分配的几种方式