Modelsim中添加针对VHDL仿真的altera库

1、在Modelsim安装路径下面建立altera文件夹用来存放编译的库文件,并在altera文件夹里建立src文件夹用来存放库的源文件。
2、将库的源文件复制到刚建立好的src文件夹: 库的源文件在quartus安装目录里如我的为:C:\altera\13.1\quartus\eda\sim_lib
Modelsim中添加针对VHDL仿真的altera库

一般只需要复制常用的几个库就可以了,如:220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd

3、运行ModelSim,执行【File】/【Change Directory】命令将路径转到altera文件夹,以便将后续编译好的库文件存放在altera文件夹下。

下面以alter_mf库为例,介绍针对VHDL建立预编译库的方法
4、在ModelSim主窗口中执行【New】/【Library】,新建一个库,取名字altera_mf,如下图,其他选项请与图中保持一致。Modelsim中添加针对VHDL仿真的altera库

5、编译库。在ModelSim主窗口中执行【Compile】/【Compile….】。如图所示:
Modelsim中添加针对VHDL仿真的altera库

弹出界面如下图:
Modelsim中添加针对VHDL仿真的altera库

Library中选中altera_mf,查找范围选中库的源文件地址,src文件夹。
之后,先选中altera_mf_components.vhd,点击Compile;在选中altera_mf.vhd,再点击Compile。(注意顺序不能颠倒)

6、 修改“modelsim.ini”文件。
在modelsim安装路径下找到,modelsim.ini将其属性 中 “只读” 勾选去掉,然后用编辑器打开modelsim.ini。
在[Library]下面添加:altera_mf = $MODEL_TECH/../altera/altera_mf。