fir多相滤波器实现

这几天刚好要做成型,这里做个笔记:

之前一直使用的多相查找表形式的实现成型,但是不适合目前的项目需求,所以采用fir ip实现多相,因为速率如果是250Mhz,再采用4倍插值去实现四相成型那么实际速率就是1Ghz了,这里用最傻瓜的模式去实现四相,就是将成型系数分成4相,然后用4路fir去做成型。一个fir本身是支持四路的设置,但是为了做得更简单点,更容易理解,直接使用四个fir。

fir多相滤波器实现

把数据存储下来,使用matlab对应解出来的QPSK如图所示:

fir多相滤波器实现

可以知道用这种方法没有问题。