DDS设计信号发生器
module DDS(clk,rst,freq,pha,key,data);
input wire clk; //时钟
input wire rst; //复位信号(低电平有效)
input wire [6 : 0] freq; //频率控制信号
input wire [6 : 0] pha; //相移变量信号
input wire key; //使能开关信号(高电平有效)
output wire [7 : 0] data; //ROM查找表数据
reg [6 : 0] addr;
reg [6 : 0] phase;
reg [6 : 0] frequency;
always @(posedge clk)
begin
if(key == 1)
begin
phase <= pha; //将相移变量值赋给寄存器phase
frequency <= freq; //将频率控制变量值赋给frequency
end
end
always @(posedge clk or negedge rst)
begin
if(!rst)
begin
addr <= 0; //复位
end
else
begin
if(key == 1)
addr <= phase; //将寄存器phase存储的相值赋给addr
else
addr <= addr + frequency; //ROM地址产生
end
end
rom1 rom_1( .address(addr), .clock(clk), .q(data) ); //实例化调用rom1波形查找表endmodule
相关推荐
- PCA9685 16路12位pwm信号发生器
- COM载板设计之三:I2C总线及其他信号
- 设计一个灯光控制逻辑电路. 要求红、绿、黄三种颜色的灯在时钟信号的作用下按表P6.30规定的顺序转换状态
- 高速PCB设计应避免过孔via将参考平面打碎 形成分割槽 造成信号完整性问题
- 新书预告:Xilix FPGA数字信号处理设计——基础版
- VSTAR设计窗口及监测信号的选择
- 基于STM32的红外遥控器设计,实现红外遥控器的复制与红外信号的发送
- 中国科学家设计超薄指尖传感器,厚度不到A4纸五分之一,最高传递500Hz信号,可用于外科微创手术-1
- 电平移位电路设计(常用于将双极性的宽动态范围信号变成单极性窄动态范围的信号供ADC采集)
- 常用的雷达信号:基于DDS的线性调频信号的产生
- zabbix的监控项(item)
- 设计模式七大原则(六)-合成复用原则