解决QuartusII打开verilog的.v文件出现中文注释乱码的问题

问题描述:如图,用Quartusii打开.v文件中文注释出现乱码。

解决QuartusII打开verilog的.v文件出现中文注释乱码的问题
解决方法:
在文件夹中找到出现乱码的.v文件,打开方式选择记事本,发现并没用乱码,这里是编码格式不同
解决QuartusII打开verilog的.v文件出现中文注释乱码的问题
这里选择另存为,将编码格式改为UTF-8,保存即可。
解决QuartusII打开verilog的.v文件出现中文注释乱码的问题
再次用Quartus打开,发现乱码消失,问题解决。
解决QuartusII打开verilog的.v文件出现中文注释乱码的问题