vivado生成bitstream报错: logical ports use I/O standard (IOSTANDARD) value ‘DEFAULT‘

报错:

[DRC NSTD-1] Unspecified I/O Standard: 1 out of 154 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: tx_glb_clk.

vivado生成bitstream报错: logical ports use I/O standard (IOSTANDARD) value ‘DEFAULT‘

 原因分析:

1) In GUI project mode, when you receive these errors in bitstream generation, running the set_property commands mentioned above in the Tcl Console and then re-running "Generate Bitstream" only will NOT resolve the errors.

This is because the properties do not get applied into the Implementation run that had already completed. When you re-run "Generate Bitstream" this Implementation run will be loaded and only the properties stored in it will be used.

2) In some cases these DRC errors are caused by tool issues. Below are two examples where those DRC errors were caused by tool issues.

解决方案:在约束中添加

set_property SEVERITY {Warning} [get_drc_checks NSTD-1]

set_property SEVERITY {Warning} [get_drc_checks RTSTAT-1]

set_property SEVERITY {Warning} [get_drc_checks UCIO-1]