FPGA入门实验

实验一 用1个拨码开关控制所有的LED灯亮灭
FPGA入门实验
FPGA入门实验
FPGA入门实验
实验二
1、放置2个2-4译码器模块,则总共有2组SW,每组2个,2组LED,每组4个,每组SW分别控制其对应的LED组。
2、参照代码,设计一个3-8译码器,完成类似的拨码开关实验。注意代码中的信号宽度设定。
3、自行查阅手册中的7段译码器管脚对应关系,用4个拨码开关控制一个7段译码器的数字,从0-9-A-F,共16个数字和字母
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
2、参照代码,设计一个3-8译码器,完成类似的拨码开关实验。注意代码中的信号宽度设定。
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
3 自行查阅手册中的7段译码器管脚对应关系,用4个拨码开关控制一个7段译码器的数字,从0-9-A-F,共16个数字和字母
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
实验三
1、参照代码,设计一个0-17的计数器,当计数值为17的时候,OV输出1,其他输出0,注意设定合理的信号位宽。
2、针对以上计数器,修改输出逻辑,当计数值为0-8时,OV输出0,9-17时OV输出1
用SignalTap验证
尝试并思考,如果时钟是50MHz,把OV接到一个LED上,能看见什么现象,为什么?
1.
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
2.
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
实验四
1、参照代码,把后级计数器的计数范围改为0-15。
2、把计数器的0-15计数值经过译码,在DE0 的 HEX LED上显示成0-9-A-F的十六 进制数
3、把计数器的0-15计数值经过译码,在DE0 的 HEX LED上显示成0-9-A-F的十六 进制数
4、自行设计上面计时器的附加控制功能(清零、暂停)。
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
2.
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
3.
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
4.
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
实验五
• 编译下载电路观察现象
• 拨动SW0开关,观察LED的闪烁变换情况
• 设计新的功能
– 在原有的电路基础上,添加方向选择功能。
– SW0仍然是移位寄存器组的输入
– 使用SW1开关,控制移位寄存的方向
– 从实验现象上应当能够看到,SW1可以控制LED闪烁的
移动方向,以及控制SW0值的对LED组的输入位置(即
从LED组的最左边或是最右边)

//////////////////// 串入并出移位寄存器 /////////////////////////
module shift_reg_SIPO(
RST , // 异步复位, 高有效
CLK , // 时钟,上升沿有效
EN , // 输入数据串行移位使能
IN , // 输入串行数据
OUT ); // 并行输出数据
parameter SHLEN = 6;
input RST, CLK, EN;
input IN;
output[SHLEN-1:0] OUT;
reg [SHLEN-1:0] shift_R;

assign OUT[SHLEN-1:0] = shift_R[SHLEN-1:0];
// 时序逻辑 根据输入使能进行串行移位
// shift_R 会被编译为D触发器
always @ (posedge CLK or posedge RST) begin
if(RST)
shift_R[SHLEN-1:0] <= 0;
else
if(EN) begin // 串行移位的使能有效
shift_R[SHLEN-1:1] <= shift_R[SHLEN-2:0];
shift_R[0] <= IN;
end
else begin // 使能无效保持不动
shift_R[SHLEN-1:0] <= shift_R[SHLEN-1:0];
end
end // always
endmodule

//////////////////// 时间基准计数器 /////////////////////////
module cnt_sync(
CLK , // clock
CNTVAL, // counter value
OV ); // overflow
input CLK;
output [32-1:0] CNTVAL;
output OV;
parameter MAX_VAL = 25_000_000;
reg [32-1:0] CNTVAL;
reg OV;

always @ (posedge CLK) begin
if(CNTVAL >= MAX_VAL)
CNTVAL <= 0;
else
CNTVAL <= CNTVAL + 1’b1;
end

always @ (CNTVAL) begin
if(CNTVAL == MAX_VAL)
OV = 1’b1;
else
OV = 1’b0;
end

endmodule
FPGA入门实验
FPGA入门实验
现象
当开关sw0=1时,从LED0亮到LED5
当开关sw0=0时,从LED0灭到LED5。

module shift_reg_SIPO(
RST , // 异步复位, 高有效
CLK , // 时钟,上升沿有效
EN , // 输入数据串行移位使能
IN0 , // 输入串行数据
IN1 , // fangxiangkongzhi
OUT ); // 并行输出数据
parameter SHLEN = 6;
input RST, CLK, EN;
input IN0;
input IN1;
output[SHLEN-1:0] OUT;
reg [SHLEN-1:0] shift_R;

assign OUT[SHLEN-1:0] = shift_R[SHLEN-1:0];
// 时序逻辑 根据输入使能进行串行移位
// shift_R 会被编译为D触发器
always @ (posedge CLK or posedge RST) begin
if(RST)
shift_R[SHLEN-1:0] <= 0;
else
if(EN) begin // 串行移位的使能有效
if(IN1) begin
shift_R[SHLEN-1:1] <= shift_R[SHLEN-2:0];
shift_R[0] <= IN0;
end
else begin
shift_R[SHLEN-2:0] <= shift_R[SHLEN-1:1];
shift_R[5] <= IN0;
end
end
else begin // 使能无效保持不动
shift_R[SHLEN-1:0] <= shift_R[SHLEN-1:0];
end
end // always
endmodule

//////////////////// 时间基准计数器 /////////////////////////
module cnt_sync(
CLK , // clock
CNTVAL, // counter value
OV ); // overflow
input CLK;
output [32-1:0] CNTVAL;
output OV;
parameter MAX_VAL = 25_000_000;
reg [32-1:0] CNTVAL;
reg OV;

always @ (posedge CLK) begin
if(CNTVAL >= MAX_VAL)
CNTVAL <= 0;
else
CNTVAL <= CNTVAL + 1’b1;
end

always @ (CNTVAL) begin
if(CNTVAL == MAX_VAL)
OV = 1’b1;
else
OV = 1’b0;
end
endmodule
现象
当开关sw0=1时,从LED5亮到LED0
当开关sw0=0时,从LED5灭到LED0。
实验六
本实验设计目标
• 认识ROM
• 用计数器生成地址、读取ROM数据
• 用SignalTAP观察ROM的输出波形
• 理解二进制补码和无符号数
• 修改计数增量值,观察波形变化,思考输出频率 和计数器增量值的关系。
module cnt_incr(
CLK , // clock
INCR , // counter increase value
CNTVAL); // counter value
input CLK;
input [7-1:0] INCR;
output [7-1:0] CNTVAL;

reg [7-1:0] CNTVAL;

always @ (posedge CLK) begin
CNTVAL <= INCR + CNTVAL;
end
endmodule // module cnt_incr
/////////////////////////////////////////////////////
module sine_rom(
CLK , // clock
RA , // read address
RD ); // read data
input CLK;
input [6 :0] RA;
output [7 :0] RD;
reg [7 :0] RD;
always @ (posedge CLK)
case(RA)
7 ‘d 0 :RD = #1 8 ‘b 00000000; // 0 0x0
7 ‘d 1 :RD = #1 8 ‘b 00000110; // 6 0x6
7 ‘d 2 :RD = #1 8 ‘b 00001100; // 12 0xC
7 ‘d 3 :RD = #1 8 ‘b 00010010; // 18 0x12
7 ‘d 4 :RD = #1 8 ‘b 00011000; // 24 0x18
7 ‘d 5 :RD = #1 8 ‘b 00011110; // 30 0x1E
7 ‘d 6 :RD = #1 8 ‘b 00100100; // 36 0x24
7 ‘d 7 :RD = #1 8 ‘b 00101010; // 42 0x2A
7 ‘d 8 :RD = #1 8 ‘b 00110000; // 48 0x30
7 ‘d 9 :RD = #1 8 ‘b 00110110; // 54 0x36
7 ‘d 10 :RD = #1 8 ‘b 00111011; // 59 0x3B
7 ‘d 11 :RD = #1 8 ‘b 01000001; // 65 0x41
7 ‘d 12 :RD = #1 8 ‘b 01000110; // 70 0x46
7 ‘d 13 :RD = #1 8 ‘b 01001011; // 75 0x4B
7 ‘d 14 :RD = #1 8 ‘b 01010000; // 80 0x50
7 ‘d 15 :RD = #1 8 ‘b 01010101; // 85 0x55
7 ‘d 16 :RD = #1 8 ‘b 01011001; // 89 0x59
7 ‘d 17 :RD = #1 8 ‘b 01011110; // 94 0x5E
7 ‘d 18 :RD = #1 8 ‘b 01100010; // 98 0x62
7 ‘d 19 :RD = #1 8 ‘b 01100110; // 102 0x66
7 ‘d 20 :RD = #1 8 ‘b 01101001; // 105 0x69
7 ‘d 21 :RD = #1 8 ‘b 01101100; // 108 0x6C
7 ‘d 22 :RD = #1 8 ‘b 01110000; // 112 0x70
7 ‘d 23 :RD = #1 8 ‘b 01110010; // 114 0x72
7 ‘d 24 :RD = #1 8 ‘b 01110101; // 117 0x75
7 ‘d 25 :RD = #1 8 ‘b 01110111; // 119 0x77
7 ‘d 26 :RD = #1 8 ‘b 01111001; // 121 0x79
7 ‘d 27 :RD = #1 8 ‘b 01111011; // 123 0x7B
7 ‘d 28 :RD = #1 8 ‘b 01111100; // 124 0x7C
7 ‘d 29 :RD = #1 8 ‘b 01111101; // 125 0x7D
7 ‘d 30 :RD = #1 8 ‘b 01111110; // 126 0x7E
7 ‘d 31 :RD = #1 8 ‘b 01111110; // 126 0x7E
7 ‘d 32 :RD = #1 8 ‘b 01111111; // 127 0x7F
7 ‘d 33 :RD = #1 8 ‘b 01111110; // 126 0x7E
7 ‘d 34 :RD = #1 8 ‘b 01111110; // 126 0x7E
7 ‘d 35 :RD = #1 8 ‘b 01111101; // 125 0x7D
7 ‘d 36 :RD = #1 8 ‘b 01111100; // 124 0x7C
7 ‘d 37 :RD = #1 8 ‘b 01111011; // 123 0x7B
7 ‘d 38 :RD = #1 8 ‘b 01111001; // 121 0x79
7 ‘d 39 :RD = #1 8 ‘b 01110111; // 119 0x77
7 ‘d 40 :RD = #1 8 ‘b 01110101; // 117 0x75
7 ‘d 41 :RD = #1 8 ‘b 01110010; // 114 0x72
7 ‘d 42 :RD = #1 8 ‘b 01110000; // 112 0x70
7 ‘d 43 :RD = #1 8 ‘b 01101100; // 108 0x6C
7 ‘d 44 :RD = #1 8 ‘b 01101001; // 105 0x69
7 ‘d 45 :RD = #1 8 ‘b 01100110; // 102 0x66
7 ‘d 46 :RD = #1 8 ‘b 01100010; // 98 0x62
7 ‘d 47 :RD = #1 8 ‘b 01011110; // 94 0x5E
7 ‘d 48 :RD = #1 8 ‘b 01011001; // 89 0x59
7 ‘d 49 :RD = #1 8 ‘b 01010101; // 85 0x55
7 ‘d 50 :RD = #1 8 ‘b 01010000; // 80 0x50
7 ‘d 51 :RD = #1 8 ‘b 01001011; // 75 0x4B
7 ‘d 52 :RD = #1 8 ‘b 01000110; // 70 0x46
7 ‘d 53 :RD = #1 8 ‘b 01000001; // 65 0x41
7 ‘d 54 :RD = #1 8 ‘b 00111011; // 59 0x3B
7 ‘d 55 :RD = #1 8 ‘b 00110110; // 54 0x36
7 ‘d 56 :RD = #1 8 ‘b 00110000; // 48 0x30
7 ‘d 57 :RD = #1 8 ‘b 00101010; // 42 0x2A
7 ‘d 58 :RD = #1 8 ‘b 00100100; // 36 0x24
7 ‘d 59 :RD = #1 8 ‘b 00011110; // 30 0x1E
7 ‘d 60 :RD = #1 8 ‘b 00011000; // 24 0x18
7 ‘d 61 :RD = #1 8 ‘b 00010010; // 18 0x12
7 ‘d 62 :RD = #1 8 ‘b 00001100; // 12 0xC
7 ‘d 63 :RD = #1 8 ‘b 00000110; // 6 0x6
7 ‘d 64 :RD = #1 8 ‘b 00000000; // 0 0x0
7 ‘d 65 :RD = #1 8 ‘b 11111010; // -6 0xFA
7 ‘d 66 :RD = #1 8 ‘b 11110100; // -12 0xF4
7 ‘d 67 :RD = #1 8 ‘b 11101110; // -18 0xEE
7 ‘d 68 :RD = #1 8 ‘b 11101000; // -24 0xE8
7 ‘d 69 :RD = #1 8 ‘b 11100010; // -30 0xE2
7 ‘d 70 :RD = #1 8 ‘b 11011100; // -36 0xDC
7 ‘d 71 :RD = #1 8 ‘b 11010110; // -42 0xD6
7 ‘d 72 :RD = #1 8 ‘b 11010000; // -48 0xD0
7 ‘d 73 :RD = #1 8 ‘b 11001010; // -54 0xCA
7 ‘d 74 :RD = #1 8 ‘b 11000101; // -59 0xC5
7 ‘d 75 :RD = #1 8 ‘b 10111111; // -65 0xBF
7 ‘d 76 :RD = #1 8 ‘b 10111010; // -70 0xBA
7 ‘d 77 :RD = #1 8 ‘b 10110101; // -75 0xB5
7 ‘d 78 :RD = #1 8 ‘b 10110000; // -80 0xB0
7 ‘d 79 :RD = #1 8 ‘b 10101011; // -85 0xAB
7 ‘d 80 :RD = #1 8 ‘b 10100111; // -89 0xA7
7 ‘d 81 :RD = #1 8 ‘b 10100010; // -94 0xA2
7 ‘d 82 :RD = #1 8 ‘b 10011110; // -98 0x9E
7 ‘d 83 :RD = #1 8 ‘b 10011010; // -102 0x9A
7 ‘d 84 :RD = #1 8 ‘b 10010111; // -105 0x97
7 ‘d 85 :RD = #1 8 ‘b 10010100; // -108 0x94
7 ‘d 86 :RD = #1 8 ‘b 10010000; // -112 0x90
7 ‘d 87 :RD = #1 8 ‘b 10001110; // -114 0x8E
7 ‘d 88 :RD = #1 8 ‘b 10001011; // -117 0x8B
7 ‘d 89 :RD = #1 8 ‘b 10001001; // -119 0x89
7 ‘d 90 :RD = #1 8 ‘b 10000111; // -121 0x87
7 ‘d 91 :RD = #1 8 ‘b 10000101; // -123 0x85
7 ‘d 92 :RD = #1 8 ‘b 10000100; // -124 0x84
7 ‘d 93 :RD = #1 8 ‘b 10000011; // -125 0x83
7 ‘d 94 :RD = #1 8 ‘b 10000010; // -126 0x82
7 ‘d 95 :RD = #1 8 ‘b 10000010; // -126 0x82
7 ‘d 96 :RD = #1 8 ‘b 10000001; // -127 0x81
7 ‘d 97 :RD = #1 8 ‘b 10000010; // -126 0x82
7 ‘d 98 :RD = #1 8 ‘b 10000010; // -126 0x82
7 ‘d 99 :RD = #1 8 ‘b 10000011; // -125 0x83
7 ‘d 100 :RD = #1 8 ‘b 10000100; // -124 0x84
7 ‘d 101 :RD = #1 8 ‘b 10000101; // -123 0x85
7 ‘d 102 :RD = #1 8 ‘b 10000111; // -121 0x87
7 ‘d 103 :RD = #1 8 ‘b 10001001; // -119 0x89
7 ‘d 104 :RD = #1 8 ‘b 10001011; // -117 0x8B
7 ‘d 105 :RD = #1 8 ‘b 10001110; // -114 0x8E
7 ‘d 106 :RD = #1 8 ‘b 10010000; // -112 0x90
7 ‘d 107 :RD = #1 8 ‘b 10010100; // -108 0x94
7 ‘d 108 :RD = #1 8 ‘b 10010111; // -105 0x97
7 ‘d 109 :RD = #1 8 ‘b 10011010; // -102 0x9A
7 ‘d 110 :RD = #1 8 ‘b 10011110; // -98 0x9E
7 ‘d 111 :RD = #1 8 ‘b 10100010; // -94 0xA2
7 ‘d 112 :RD = #1 8 ‘b 10100111; // -89 0xA7
7 ‘d 113 :RD = #1 8 ‘b 10101011; // -85 0xAB
7 ‘d 114 :RD = #1 8 ‘b 10110000; // -80 0xB0
7 ‘d 115 :RD = #1 8 ‘b 10110101; // -75 0xB5
7 ‘d 116 :RD = #1 8 ‘b 10111010; // -70 0xBA
7 ‘d 117 :RD = #1 8 ‘b 10111111; // -65 0xBF
7 ‘d 118 :RD = #1 8 ‘b 11000101; // -59 0xC5
7 ‘d 119 :RD = #1 8 ‘b 11001010; // -54 0xCA
7 ‘d 120 :RD = #1 8 ‘b 11010000; // -48 0xD0
7 ‘d 121 :RD = #1 8 ‘b 11010110; // -42 0xD6
7 ‘d 122 :RD = #1 8 ‘b 11011100; // -36 0xDC
7 ‘d 123 :RD = #1 8 ‘b 11100010; // -30 0xE2
7 ‘d 124 :RD = #1 8 ‘b 11101000; // -24 0xE8
7 ‘d 125 :RD = #1 8 ‘b 11101110; // -18 0xEE
7 ‘d 126 :RD = #1 8 ‘b 11110100; // -12 0xF4
7 ‘d 127 :RD = #1 8 ‘b 11111010; // -6 0xFA
default : RD = #1 0;
endcase
endmodule
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
FPGA入门实验
• 拨动开关,观察不同频率的正弦波 – 电路的工作时钟是50MHz
– 请回答,你能得到的正弦波频率和计数器增量值的对应关系是什么?
– 请回答,你能得到的最低频率的正弦波是多少?设该频率为f1
– 请思考,能否有什么方法能够得到比f1频率还低的正弦波
【答】:
(1)正弦波频率和计数器增量值成正比。
(2)f1约0.39 MHZ。
(3)增加计数器增量或减小计数器数据位宽。

• 对计数器进行改动,修改计数增量信号为10比特,计数值信号为10比特。
– 把计数增量输入信号分配到10个拨码开关上
– 把计数值信号的高7位分配为ROM的地址,低3位悬空不使用。
– 编译、下载电路,拨动拨码开关,观察最低频率有什么变化。
module cnt_incr(
CLK , // clock
INCR , // counter increase value
CNTVAL); // counter value
input CLK;
input [10-1:0] INCR;
output [10-1:0] CNTVAL;

reg [10-1:0] CNTVAL;

always @ (posedge CLK) begin
CNTVAL <= INCR + CNTVAL;
end
endmodule // module cnt_incr
FPGA入门实验
FPGA入门实验

直接数字频率合成(DDS)
– 请给出:输出信号频率 和 电路工作时钟频率,计数器增量值,以及计数器数据位宽
之间的表达式关系。
FPGA入门实验