VHDL语言入门帮助

个人的感想:
1.刚开始写VHDL语言的时候,可以把它当作是一个描述逻辑电路图的过程。
2.不要上来就学或记详细的语法,规则是为需求创建的,先上一个例子看看,只有在具体的应用中你才会感受到并更好理解语法或规则设置的必要性或意图所在,不然繁复的语法只会让你一头雾水不知所云。

以一个二选一的数据选择器为例。
首先,你需要有“材料”,也就是库。
然后,把二选一数据选择器当成一个黑盒子,它包括两部分,一是外部的接口(也就是实体(entity)),二是内部的具体实现(也就是结构体(architecture))。
VHDL语言入门帮助
如上图,一个例子其实已经包含了很多语法细节:
1.库,包的声明;
2.实体:接口的描述;声明接口时放在port()的括号里,同类型的接口可以一起声明(A),用逗号分隔名称;声明接口要后接冒号指定属性(in表输入,std_logic是之前的库和包中定义的部分,定义了接口类型),后面类似。
3.结构体其实就是每个部分分别定义,比如B1:BLOCK的定义,以及architecture本身的定义;定义结束要用end表明定义的结束,与其他部分分隔好(C);
4.信号signal就是器件内部的连线的抽象表示,声明时也要在后面接冒号指定属性(B)。
5.语句结束要用分号。注释跟在两短横杠“–”后面。
6.不区分大小写。
7.名称和标识符(关键字)的问题。
8.关于BLOCK:可看成整个逻辑电路图分部分表示。多个BLOCK要有前缀的标号区分(D)。
9. ……

最后:
1.本文只是提供一个大概的框架,有助于构建一个初步的认识,具体的细节要到实际的教程书中了解。
2.关于architecture的实现还有很多种语句,以更好的描述电路功能。