PWM输出实验

PWM输出实验

一、原理

PWM输出实验

本次采用的是右下角输出部分。时钟来源:内部时钟(CK_INT)。

PWM工作过程:

PWM输出实验

  例如:向上计数时,捕获/输出寄存器比较当前值,如果当前值低于设定值,输出低电平,高于设定值,则输出高电平。当计数值达到重装载值时,变为0.由此可以输出连续的波形。

PWM输出实验

  注:PWM模式1和PWM模式2的区别:

PWM输出实验

  模式1:无论是向上还是向下计数,只要CNT<CCR1,则为有效电平。具体有效电平是哪种,是由CCER设置的,设为0,则高电平有效;设为1,则低电平有效。

  PWM输出实验

  总结一下就是:①设置PWM模式1/2;②使能相应预装载寄存器;③设置ARPE位。

  使能ARPE位如何理解?

PWM输出实验

  输出通道引脚:

PWM输出实验

二、实验过程

使用库函数进行初始化:

PWM输出实验

  PWM输出实验

  注:设置比较值函数:就是可以在外部设置CCRx。可以调节占空比。

三、实验步骤

 

PWM输出实验