modelsim仿真altera IP(ROM),采用mif文件初始化的ROM,仿真无数据

在建立QUARTUS ROM IP时使用的mif文件对内存进行初始化后(如图1),使用MODELSIM仿真ALTERA IP ROM ,MODELSIM不能使用mif文件中的数据。

modelsim仿真altera IP(ROM),采用mif文件初始化的ROM,仿真无数据
图1 mif文件初始化ROM

这个时候可以:

1、用QUARTUS打开 ***.mif文件,将其另存为***.hex文件。

2、新建MODELSIM工程,将要仿真的文件添加到工程中,包括在QUARTUS工程中生成的ROM IP文件(如ROM_1PORT.v)以及刚刚另存的***.hex文件(如图2),一定将***.hex放到modelsim工程目录下

modelsim仿真altera IP(ROM),采用mif文件初始化的ROM,仿真无数据
图2 添加仿真文件

3、在MODELSIM中右键ROM IP文件(如ROM_1PORT.v),将文件中***.mif改为***.hex(如sin_14bit_8192.hex),如图3.

modelsim仿真altera IP(ROM),采用mif文件初始化的ROM,仿真无数据
图3 修改 .mif 为 .hex

 4、编译完成,就能进行仿真,前提是在modelsim中已经添加了ALTERA的仿真库(添加仿真库的方法可百度)。仿真结果如图4,DAC_data就是ROM中的数据。

modelsim仿真altera IP(ROM),采用mif文件初始化的ROM,仿真无数据
图4 仿真结果