VIVADO使用DDS IP核

这里写自定义目录标题

VIVADO使用DDS IP核介绍

在使用DDS内核之前,我们需要知道DDS是什么东西,常用的DDS,在电子设计竞赛中我使用的DDS芯片是AD9954,AD9959,他们有较宽的带宽。他们的原理是我写入一个频率控制字,去控制DDS产生的一定频率的信号。DDS的实质是,他的内部是一个ROM,存储了一个sin数组。如果你们使用过matlab,你们应该知道sin信号或者cos信号在数字电路中是如何产生的。DDSIP核跟我们电路中的DDS是一样的。我们往IP核里面写频率控制字就行。

DDS固定频率的计算

  1. 首先我们可以打开VIVADO,在IP CATALOG里面搜索DDS,随便选取一个IP核打开就可以。
    VIVADO使用DDS IP核
  2. 创建了IP核后,我们需要知道如何对这个IP核进行配置。所以我们需要打开这个DDS的设计手册,在右上方有个documentation,我们打开这个指导手册。
  3. 在指导手册里面,我们可以找到一页介绍DDS内核的
    VIVADO使用DDS IP核
    在这个图中,我们知道fclk关系到我们最后的输出频率.(第一次写博客,还不太会打公式)。
  4. 比如我需要0.01MHZ的频率,我给DDS内核输入的fclk为10.24MHZ,频率输出跟相位输出我设置为10位10.24/2^10就为0.01MHZ,满足我实验的需求
    当然这只是简单设置一下DDS内核,具体如何改变DDS产生的频率,后面接着更