Quartus ii联合modelsim进行电路仿真

在将自己的设计文件添加进工程,对代码进行编译通过之后,就需要进行仿真啦~
首次使用软件时需要对modelsim路径进行设置,点击tools–options,
Quartus ii联合modelsim进行电路仿真
在这里对EDA工具进行设置,设置成功后,下一次使用软件进行仿真,就不需要再次设置啦~
接着加入testbench,点击Assignments–settings,选择左侧的simulation,选择右侧compile testbench,
Quartus ii联合modelsim进行电路仿真
点击new,
Quartus ii联合modelsim进行电路仿真
输入tb文件名称,通过浏览找到需要添加的文件,点击add即会在下方框中看到所添加的测试文件
Quartus ii联合modelsim进行电路仿真
之后连续点击三次OK,即完成了测试文件的添加
接着就可以进行仿真啦~点击tools–run simulation tool,选择进行功能仿真或者时序仿真(时序仿真之前要进行全编译)
Quartus ii联合modelsim进行电路仿真
随后便会自动进行modelsim的仿真。