verilog中延时控制语句 # 的用法

verilog中延时控制语法格式分为两种:
#<延时时间> 行为语句;
#<延时时间>;

1、在begin end块语句上,以上两种格式也没有什么区别的。但是在fork join块语句中还是有点区别。

举例来说:
例1:
initial fork
a = 0;
#100 a = 1;
#200 a = 2;
#300 a = 3;
join

例2:
initial fork
#100 a = 1;
#200;
a = 2;
#300 a = 3;
join

对于例1:0到100时间a为0,100到300时间,a为1,300到600时间a为2,600以后a为3;
对于例2:0到100时间a是2,100到300时间,a是1,300以后a是3.

2、#后的延时时间不一定要常量,也可以是表达式或变量。
verilog中延时控制语句 # 的用法
verilog中延时控制语句 # 的用法
仿真结果如下:verilog中延时控制语句 # 的用法
*

声明:文章用于个人知识学习积累与回顾,同时做个分享,个人能力有限,如有错误,欢迎指正。
联系:个人微博:东巴克。公众号:巴客小屋。文章有帮到你,也欢迎你来关注一波,谢谢