uvm_field_automation:UVM_PACK(二)

在上篇中介绍了使用UVM基类的do_pack,在处理时按照注册的顺序进行pack.但是有时候我们可能想对数据流做特殊的处理,那么就需要自定义do_pack函数,自定义pack函数时,一种是在注册时添加UVM_NOPACK,那么即时调用了super.do_pack也会对对应的类成员打包.
uvm_field_automation:UVM_PACK(二)
在用例中调用pack_bytes函数,返回的数据长度是0,即不会进行打包处理的.
自定义do_pack函数,其按照新的排列顺序打包.
uvm_field_automation:UVM_PACK(二)uvm_field_automation:UVM_PACK(二)