试用74LS194加74151设计一个从Q3端输出100111序列信号的序列信号发生器, 要求能够自启动, 电路越简单越好

        对于序列信号100111, 选择三位: 100->001->011->111->111->110->100->...... 有重复状态出现, 不能作为设计方案.

        对于序列信号100111, 选择四位: 1001->0011->0111->1111->1110->1100->1001->...... 无重复状态出现, 以此作为设计方案.

        由上面的分析, 得到状态顺序表.

状态顺序表
CLK顺序 Q3 Q2 Q1 Q0 D0
0 1 0 0 1 1
1 0 0 1 1 1
2 0 1 1 1 1
3 1 1 1 1 0
4 1 1 1 0 0
5 1 1 0 0 1
6 1 0 0 1 1

        由上述状态顺序表可知, 所需的序列信号可由Q3端输出.

        ※为了使电路具备自启动功能, 应该这样考虑无效状态的次态(这一步十分重要).

Q0 Q1 Q2 Q3 Q0* Q1* Q2* Q3* D0
0 0 0 0 1 0 0 0 1
0 0 0 1 1 0 0 0 1
0 0 1 0 1 0 0 1 1
                 
0 1 0 0 1 0 1 0 1
0 1 0 1 1 0 1 0 1
0 1 1 0 0 0 1 1 0
                 
1 0 0 0 1 1 0 0 1
                 
1 0 1 0 1 1 0 1 1
1 0 1 1 1 1 0 1 1
                 
1 1 0 1 1 1 1 0 1

         根据上面的分析, 像下图示对74151进行接线(注意Q3Q2Q1Q0和Q0Q1Q2Q3转换)(用2片74151进行级联, 组成16选1数据选择器, 在实现4变量逻辑函数时十分方便).

试用74LS194加74151设计一个从Q3端输出100111序列信号的序列信号发生器, 要求能够自启动, 电路越简单越好

        如此考虑后, 绘制电路的状态图.

试用74LS194加74151设计一个从Q3端输出100111序列信号的序列信号发生器, 要求能够自启动, 电路越简单越好

        在此补充说明一下, 我们以之前类似的设计为参考标杆, 所以使用74LS194的右移移位功能(S1S0=01), 且使SR(右移串行输入)=D0(原先使用D触发器实现同步右移移位寄存器后再进行改进实现序列信号发生器, 此处的SR对应原先设计中的D0).

        由上面的分析, 绘制电路的原理图.

试用74LS194加74151设计一个从Q3端输出100111序列信号的序列信号发生器, 要求能够自启动, 电路越简单越好

        从本例的设计中, 我们可以看出, 要实现某一具体功能, 可从之前设计过的且相似的案例改造而来: 重要的是提炼出设计核心思想, 在此基础上稍加修改, 便可实现一个全新的设计. 本例的设计思路就是受"用D触发器设计同步4位移位寄存器, 在此基础上加以修改, 实现某种序列信号发生器"设计思路的启发.