FPGA实现CORDIC算法

FPGA实现CORDIC算法

CORDIC(Coordinate Rotation Digital Computer)是坐标旋转数字计算机算法的简称,这是一种数学计算的逼近方法,可以通过一系列的加减和移位操作来实现,非常适合计算机硬件实现。在实际运用中常用来求解反正切等三角运算,也就是用在三角函数的运算问题。
CORDIC原理的推导可以在圆周系统下利用旋转模式来实现。
FPGA实现CORDIC算法
由上图可知向量OP和向量OQ可分别用式1和2表示
FPGA实现CORDIC算法利用和差化积公式可得到
FPGA实现CORDIC算法
把式1带入式3中可知
FPGA实现CORDIC算法
从式4中可以得到Q点的位置坐标。接下来从P点做单位圆的切线与OP延长线交于一点R,因此可知
FPGA实现CORDIC算法
由于Q点在单位圆上,OP的模长为1,因此可知
FPGA实现CORDIC算法
因此对应角度b可以是一系列微小的旋转角度的和,即式7可以表示为
FPGA实现CORDIC算法
由于任意数都可以用一组二进制数的和来表示,因此硬件实现CORDIC的关键就是用一组二进制数来表示正切值,因此
FPGA实现CORDIC算法
因此式8可以表示为
FPGA实现CORDIC算法
从上式可知±号的确定是由旋转角度和目标角度确定。
一角度55为例求反正切值:

55=45+26.6-14-7.1+3.6+1.8-0.9
表b的值如下:
FPGA实现CORDIC算法
因此可以得到55度的二进制表示形式所对应的值。