vivado使用入门

  1. 首先你需要到xilinx官网上下载vivado软件(这个软件确实很大,安装也有点困难)
  2. 安装之后点击打开是这个样子的
    vivado使用入门
  3. 此时你应该还没有工程(project),就点击quick start或者在file中creat一个project。如图
    vivado使用入门
    或者
    vivado使用入门
  4. 点击之后就可以按照步骤创建工程了,如图
    点击next
    vivado使用入门然后输入工程名称并点击next
    vivado使用入门之后选择工程类型(一般是RTL),然后点击next
    vivado使用入门此时就要添加文件,不过此时我们先不添加。点击next
    vivado使用入门同样点击next
    vivado使用入门接下来选择开发板,是啥板子就选哪种。我们先默认
    vivado使用入门然后点击finish完成工程的创建
    vivado使用入门创建成功之后是这个样子
    vivado使用入门
  5. 接下来就要写设计文件了
    先点击disign source,鼠标右击,选择add source
    vivado使用入门选择add or creat design source
    vivado使用入门然后点击creat file
    vivado使用入门添加文件名称
    vivado使用入门然后点击finish,就添加了一个creat了一个文件了
    vivado使用入门然后添加module名称
    vivado使用入门
  6. 现在就写设计文件了
    先点击design source,再点击其下的文件
    vivado使用入门此时就可以在右边进行编辑了
  7. 设计文件写完了之后我们需要写测试代码,测试代码就在simulation source中添加
    vivado使用入门选择add or creat simulation source
    vivado使用入门点击creat file并添加名称之后点击finish
    vivado使用入门再添加module名称并点击ok,测试文件就创建完成了
    vivado使用入门
  8. 写完design source和测试代码之后要先保存在运行,这样可以查看是否有bug(不过vivado在每一行都会自动帮你debug,但是对于语义的错误就不能帮你了)
    vivado使用入门
  9. 之后你可能会查看电路图和波型图,这两个可以通过run synhesis和run implementation进行查看
    vivado使用入门
  10. 这期太简单了,下期具体讲下设计文档的书写和测试代码的书写,以及波形仿真和电路综合。