vc707 MicroBlaze LCD 试验

VC707 开发板上面有一个LCD1602 的显示屏,可以参考UG885文档中LCD部分的描述如下:

vc707 MicroBlaze LCD 试验

vc707 MicroBlaze LCD 试验


         关于此款LCD屏幕,可以参考ug230 文档中的描述。

Block图中创建MCU的最小系统,这里FLASH选择64KB。

vc707 MicroBlaze LCD 试验

vc707 MicroBlaze LCD 试验

添加一个GPIO模块,设置如下:

vc707 MicroBlaze LCD 试验

vc707 MicroBlaze LCD 试验

vc707 MicroBlaze LCD 试验

设置约束文件

set_property PACKAGE_PIN E19 [get_ports sys_diff_clock_clk_p]
set_property IOSTANDARD LVDS [get_ports sys_diff_clock_clk_p]
set_property PACKAGE_PIN E18 [get_ports sys_diff_clock_clk_n]
set_property IOSTANDARD LVDS [get_ports sys_diff_clock_clk_n]
set_property PACKAGE_PIN AV40 [get_ports reset]
set_property IOSTANDARD LVCMOS18 [get_ports reset]
set_property PACKAGE_PIN AU36 [get_ports rs232_uart_rxd]
set_property IOSTANDARD LVCMOS18 [get_ports rs232_uart_rxd]
set_property PACKAGE_PIN AU33 [get_ports rs232_uart_txd]
set_property IOSTANDARD LVCOM18 [get_ports rs232_uart_txd]
set_property PACKAGE_PIN AT42 [get_ports lcd_7bits_tri_o_6]
set_property IOSTANDARD LVCMOS18 [get_ports lcd_7bits_tri_0_6]
set_property PACKAGE_PIN AR38 [get_ports lcd_7bits_tri_o_5]
set_property IOSTANDARD LVCMOS18 [get_ports lcd_7bits_tri_o_5]
set_property PACKAGE_PIN AR39 [get_ports lcd_7bits_tri_o_4]
set_property IOSTANDARD LVCMOS18 [get_ports lcd_7bits_tri_o_4]
set_property PACKAGE_PIN AN40 [get_ports lcd_7bits_tri_o_3]
set_property IOSTANDARD LVCMOS18 [get_ports lcd_7bits_tri_0_3]
set_property PACKAGE_PIN AR42 [get_ports lcd_7bits_tri_o_2]
set_property IOSTANDARD LVCMOS18 [get_ports lcd_7bits_tri_0_2]
set_property PACKAGE_PIN AN41 [get_ports lcd_7bits_tri_o_1]
set_property IOSTANDARD LVCMOS18 [get_ports lcd_7bits_tri_0_1]
set_property PACKAGE_PIN AT40 [get_ports lcd_7bits_tri_o_0]

set_property IOSTANDARD LVCMOS18 [get_ports lcd_7bits_tri_0_0]

验证无问题后,选择Generate Bitstream。

软件部分, 参考vc707_bist 官方实验中的LCD部分代码即可。

备注:注意一下外设地址的宏定义部分

#define XPAR_LCD_GPIO_BASEADDR   XPAR_GPIO_0_BASEADDR
//这里的"XPAR_GPIO_0_BASEADDR"这个名字和Block图中GPIO模块的名字有关系

SDK中创建一个helloworld的模板程序,然后调用一下 lcd_simple() 函数,能够看到屏幕显示出正确的字符。