关于modelsim仿真quartus的ROM的IP核问题

关于modelsim仿真quartus的ROM的IP核问题
在利用modelsim仿真rom的ip核的时候,会碰到数据读不出来的情况。在网上查找了很多方法,比如将mif文件转换位hex文件啊,改变rom.v中数据文件路径啊,但是都没有作用,后来在同学的帮助下,终于找到了解决方法:
在生成rom的ip核的时候,在最后一步,选择生成的文件的时候,勾选上所有的文件,关于modelsim仿真quartus的ROM的IP核问题
这些文件中只有一个有用,但是具体是哪一个我也不清楚,反正都勾选上也不会有问题。
生成之后,进行quartus和modelsim的联合仿真,联合仿真很简单,设置一点东西就可以了,在网上可以找到。然后,波形就可以出来了!!!
关于modelsim仿真quartus的ROM的IP核问题
手动仿真很奇怪,之前的一个工程没有问题,但是换一个工程又是读出来的数据都是0了。
希望能对大家有用!!!