vivado学习之自定义IP和调用自定义IP和的步骤

一、自定义IP核

1、打开 VIVADO 软件,新建一个工程。

2、Flow Navigater下,单击 Add Source,选择 Add or Creat design Sources,然后单击 Next。

3、单击 Create File,输入文件名,单击 OK。

4、单击 Finish,完成 Verilog 文件的创建。

5、在sources中找到自己新建的verilog文件,编写代码。

6、单击 Tools—>Create and package IP,单击 Next。

7、选择 IP 的保存路径,单击 Next。

8、单击 Finish 完成封装。

二、调用自定义IP核

1、另外新建一个 VIVADO 工程,根据自己的开发板正确配置芯片型号。

2、在 Project manager 区中单击 Project settings。

3、选择 IP 设置区中的 repository manager。

4、单击+号图标,将自己封装的 IP 的路径存放进去,单击 OK。

vivado学习之自定义IP和调用自定义IP和的步骤

5、Flow Navigator -project manager -create block design新建一个 BD 文件,输入文件名,完成创建。

6、向 BD 文件中添加一个 ZYNQ Processing system,根据自身硬件完成 IP 的配置

7、单击添加 IP 图标,输入我们自定义 IP 的模块名,将其添加入 BD 文件中。

8、完成连线

9、右键单击 Block 文件,文件选择 Generate the Output Products。

10、右键单击 Block 文件,选择 Create a HDL wrapper,根据 Block 文件内容产生一个 HDL 的顶层文件,并选择让 vivado 自动完成。

11、选中 Project manager,然后右单击 Constraints,选择 Add Sources。

12、输入文件名,完成创建。编辑约束文件。

13、产生 bit 文件。

三、导入到SDK中

      由于自定义的IP的时钟输入来自于 ZYNQ Processing system,源时钟是使用的 PS 的时钟,因此需要启动 SDK 整个系统才能启动,而自定义 IP 不需要由 SDK 进行配置。因此我们可以在SDK端建立一个 Hello World 工程跑起来就能让自定义 IP 跑起来。

1、创建一个 Hello World 工程。

2、右击工程,选择 Debug as ->Debug configuration。

3、设置系统调试。

vivado学习之自定义IP和调用自定义IP和的步骤

4、单击窗口上的运行按钮,运行程序。